性无码一区二区三区在线观看,少妇被爽到高潮在线观看,午夜精品一区二区三区,无码中文字幕人妻在线一区二区三区,无码精品国产一区二区三区免费

FPGA and ICer
認(rèn)證:普通會員
作者動態(tài)
數(shù)字信號處理-07-DDS IP應(yīng)用實例
19小時前
數(shù)字信號處理-06-FPGA常用運算模塊-DDS信號發(fā)生器
2天前
數(shù)字信號處理-05- FPGA常用運算模塊-復(fù)數(shù)乘法器
4天前
數(shù)字信號處理-04- FPGA常用運算模塊-除法器
5天前
數(shù)字信號處理-03- FPGA常用運算模塊-乘加器
5天前

FPGA-xilinx系列芯片的復(fù)位,你真的明白嗎?

寫在前面

本文記錄總結(jié)之前在FPGA分享會中學(xué)到的關(guān)于賽靈思系列的復(fù)位功能操作的設(shè)計以及建議,進行分析總結(jié)。

學(xué)習(xí)FPGA入門,有一說一我的領(lǐng)路人大部分都是用的intel的芯片下板驗證,當(dāng)時也不理解為啥子要這樣復(fù)位,寫抄就完了甚至感覺還挺有道理哈哈哈哈。

但是現(xiàn)在隨著學(xué)習(xí)的深入我漸漸得發(fā)現(xiàn)復(fù)位還真是門學(xué)問。話不多說正文見。

總述

對于Altera的FPGA而言,因為里面的flip-flop只支持低有效的異步復(fù)位,所以推薦使用低有效的異步復(fù)位。

對于xilinx 7系列的FPGA而言,flip-flop支持高有效的異步復(fù)/置位和同步復(fù)位/置位。對普通邏輯設(shè)計,同步復(fù)位和異步復(fù)位沒有區(qū)別,當(dāng)然由于器件內(nèi)部信號均為高有效,因此推薦使用高有效的控制信號,最好使用高有效的同步復(fù)位。輸入復(fù)位信號的低有效在頂層放置反相器可以被吸收到IOB中。

為什么復(fù)位?

使用全局復(fù)位有利于我們仿真,所有的寄存器都是有初始值的,也可以在任意時刻讓你的寄存器恢復(fù)初值,所以驗證工程師很喜歡這樣的設(shè)計,但是Xilinx建議的是盡量避免使用全局復(fù)位。 復(fù)位的基本目的是使器件強制進入到可以穩(wěn)定工作的確定狀態(tài)。 這避免了器件在上電后進入到隨機狀態(tài)導(dǎo)致進入到無法判斷的狀態(tài)(也就是死機了)。在實際設(shè)計過程中,設(shè)計者必須選擇最適合于設(shè)計本身的復(fù)位方式。

xilinx為什么不推薦異步復(fù)位?

在這里插入圖片描述

  1. 異步重置將不會被添加到數(shù)據(jù)路徑。所以數(shù)據(jù)路徑對于時序分析來說是干凈的。
  2. 電路可以復(fù)位與或沒有時鐘的情況下復(fù)位電路。
  3. 不需要綜合指令

導(dǎo)致亞穩(wěn)態(tài)

異步復(fù)位比預(yù)期的要復(fù)雜得多,異步復(fù)位與寄存器工作時鐘域沒有一定的相位關(guān)系,很難確保所有寄存器同時從狀態(tài)中釋放出來。 因為內(nèi)部復(fù)位信號的偏差,寄存器A將在當(dāng)前時鐘周期內(nèi)從復(fù)位中釋放,C將在下一個時鐘周期釋放,B難以定義,甚至可能導(dǎo)致亞穩(wěn)態(tài)。 簡而言之,==亞穩(wěn)態(tài)亞穩(wěn)態(tài)亞穩(wěn)態(tài)!?。?/strong>==

浪費布線資源

異步復(fù)位信號會占用大量的布線資源是高速設(shè)計的必要條件,但我們不能看到它在源代碼 -占用太多布線資源將減少其他連接的自由。 -可能降低系統(tǒng)性能潛在地需要一個更高的設(shè)備速度等級。 -增加布線時間。

浪費Slice資源

-有和沒有異步復(fù)位的寄存器不能被包裝在一個Slice -不同異步復(fù)位的寄存器不能被包裝在一個Slice

降低DSP和BRAM性能

如圖,在XILINX內(nèi)部 的dsp和bram中,只有同步復(fù)位,異步復(fù)位是不會包含復(fù)位到BRAM/DSP的

解決方案

  1. 不復(fù)位
  2. 同步復(fù)位

同步復(fù)位的好處

同步復(fù)位為工具提供了更多的靈活性

異步復(fù)位確實會出現(xiàn)高扇出的情況。Fan-out即扇出,模塊直接調(diào)用的下級模塊的個數(shù),如果這個數(shù)值過大的話,在FPGA直接表現(xiàn)為net delay較大,不利于時序收斂。因此,在寫代碼時應(yīng)盡量避免高扇出的情況。

在這里插入圖片描述

  1. 合成可以選擇將控制信號的==低扇出==同步復(fù)位移到數(shù)據(jù)通路,以釋放更多的寄存器。
  2. 這可以允許將這個寄存器打包到以前不可能的一個slice中
  3. 可以改善時序以及寄存器密度

同步復(fù)位讓我們的設(shè)計穩(wěn)定

從上圖我們可以看出,在我們的復(fù)位發(fā)送故障時,我們的同步復(fù)位只能在時鐘的邊沿觸發(fā),這樣進而保證了我們系統(tǒng)的穩(wěn)定性,在復(fù)位故障時盡量相對保證系統(tǒng)穩(wěn)定。

復(fù)位建議

  1. 避免復(fù)位
  2. 高復(fù)位
  3. 同步復(fù)位
  4. 不要混合復(fù)位
  5. 可以在寄存器初始化時候直接賦值
reg [7:0] code = 8'hff;

內(nèi)部寄存器其實很多情況不需要過分復(fù)位,大不了之前的垃圾數(shù)據(jù)我們不用就行了。重置重置是設(shè)計中需要考慮和限制的更常見和重要的控制信號之一。重置可以顯著影響設(shè)計的性能、面積和功率。

模塊化復(fù)位

模塊復(fù)位可以降低扇出,保證電路的穩(wěn)定進行。

使用指令確保模塊復(fù)位信號不被綜合掉

example:

(* keep="true" *) reg my_modular_reset1;
(* keep="true" *) reg my_modular_reset2;
(* keep=“true” *) reg my_modular_reset3;
always @(posedge clkA) begin
my_modular_reset1 <= synchronized_reset;
my_modular_reset2 <= synchronized_reset;
my_modular_reset3 <= synchronized_reset;
end

DSP片采用同步復(fù)位

DSP芯片比大多數(shù)實現(xiàn)的芯片更通用 -它可以用于乘數(shù),增加/sub, MACC,計數(shù)器(與可編程終端計數(shù)),比較器,移位,多路復(fù)用器,模式匹配,和許多其他邏輯功能。 每個DSP片有效地具有> 310寄存器 -沒有異步復(fù)位 使用同步全局重置可以使合成工具更容易地使用DSP切片 -異步復(fù)位方法將防止工具使用的存儲資源在DSP片。

塊RAM使用同步重置

RAM只有同步復(fù)位,塊ram通過使用輸出寄存器獲得最小時鐘輸出時間。

非復(fù)位不可嗎?

流水線的操作在幾個周期內(nèi)就會把垃圾數(shù)據(jù)給移走,所以在這里的設(shè)計進行復(fù)位是多余的。

但是有一些情況下,復(fù)位的釋放后是很重要的。

比如獨熱碼狀態(tài)機。如果表示獨熱碼狀態(tài)的第一個觸發(fā)器比第二個觸發(fā)器早釋放了一個時鐘周期,那狀態(tài)機的狀態(tài)機會跳轉(zhuǎn)到一個無效的狀態(tài)。如果所有的表示獨熱碼的寄存器無法在同一個周期內(nèi)被釋放,那狀態(tài)機肯定會跳轉(zhuǎn)到一個無效的狀態(tài)。

其實當(dāng)Xilinx FPGA配置或重新配置時,所有的單元都會被初始化。稱為master reset,因為這可比你復(fù)位一些D觸發(fā)器要強得多,它甚至初始化了片內(nèi)RAM。

Xilinx的器件也有嵌入處理的系列,軟核或硬核。在程序執(zhí)行第一條指令前,程序和數(shù)據(jù)區(qū)域已經(jīng)定義好了。有了上電復(fù)位,還用專門消耗邏輯資源去復(fù)位觸發(fā)器是沒有意義的。

通??梢詫⒃O(shè)計分為兩部分,控制路徑和數(shù)據(jù)路徑

==-至于數(shù)據(jù)路徑,初始值并不重要。重置是不必要的。==

==-只在設(shè)計中需要獲得有效幀信號或設(shè)計回到已知良好狀態(tài)的部分使用外部復(fù)位==

不復(fù)位是最好的!

總之就是能不用復(fù)位就盡量不用。

demo分享

demo1

優(yōu)化前資源使用:

優(yōu)化后: 資源節(jié)約的不是一點點阿....

全局復(fù)位和模塊復(fù)位對比

高扇出

優(yōu)化后你就說帶不帶勁哈哈哈哈。

復(fù)位總結(jié)

  1. 復(fù)位前考慮是否需要復(fù)位
  2. 盡量不用復(fù)位
  3. 使用初始化代碼寄存器進行復(fù)位
  4. 使用異步復(fù)位時候要注意

使用異步復(fù)位,同步釋放的方法。用內(nèi)部定義復(fù)位信號的方法來復(fù)位觸發(fā)器,而不是全都直接使用全局復(fù)位信號。當(dāng)進行復(fù)位操作時,所有的觸發(fā)器被預(yù)設(shè)為1。如上圖,移位寄存器的最后一個觸發(fā)器去操作模塊內(nèi)部定義的復(fù)位網(wǎng)絡(luò)。當(dāng)復(fù)位信號釋放時,移位寄存器經(jīng)過移位,當(dāng)最后一個觸發(fā)器由高電平變?yōu)榈碗娖綍r,對本地的復(fù)位網(wǎng)絡(luò)進行復(fù)位操作。也就是異步復(fù)位,同步釋放。

異步復(fù)位,就是復(fù)位信號可以直接不受時鐘信號影響,在任意時刻只要是低電平就能復(fù)位(假如約定低電平復(fù)位),也就是說,復(fù)位信號不需要和時鐘同步。而同步釋放就很有意思了,它的意思是讓復(fù)位信號取消的時候,必須跟時鐘信號同步,也就是說正好跟時鐘同沿。

module Reset_test(
	input clk,
	input rst_nin,
	output reg rst_nout
);
 
	reg rst_mid;
 
	always@(posedge clk or negedge rst_nin)
	begin
		if(!rst_nin)
		begin
			rst_mid <= 0;
			rst_nout <= 0;
		end
		else
		begin
			rst_mid <= 1;
			rst_nout <= rst_mid;
		end
	end
endmodule

Reference

  1. xilinx FPGA復(fù)位淺析
  2. 你真的會Xilinx FPGA的復(fù)位嗎?
聲明:本內(nèi)容為作者獨立觀點,不代表電子星球立場。未經(jīng)允許不得轉(zhuǎn)載。授權(quán)事宜與稿件投訴,請聯(lián)系:editor@netbroad.com
覺得內(nèi)容不錯的朋友,別忘了一鍵三連哦!
贊 2
收藏 3
關(guān)注 8
成為作者 賺取收益
全部留言
0/200
成為第一個和作者交流的人吧